👆如果您希望可以时常见面,欢迎标星🌟收藏哦~
编者按
在本文开始的时候先明确一点,我们当然不可能通过一篇文章来概括 EDA 行业的全面历史。然而,我(指代本文作者Steven Leibson,下同)找不到该行业的任何全面历史记录,因此我使用了多种来源以及我自己在许多 EDA 和 EDA 相关公司工作的经验,并作为编辑报道了 EDA 行业,从而创建了这篇文章。
以下为文章正文:
很难确定一个行业的开始日期,以半导体为例。Bardeen, Brittain和Shockley 于 1947 年 12 月 16 日开启了第一个工作晶体管,但贝尔实验室直到 1948 年 6 月 30 日才向全世界宣布这一事件,直到 1951 年才通过许可其晶体管专利开启半导体行业那么,您认为半导体行业的起点在哪里?
德州仪器 (TI) 的杰克·基尔比 (Jack Kilby) 于 1958 年 9 月 12 日使用混合组装(hybrid assembly )技术构建了第一个集成电路原型。随后,吉恩·霍尔尼 (Jean Hoerni) 于 1957 年 12 月 1 日将他对平面制造工艺的想法输入到他在仙童半导体 (Fairchild Semiconductor) 的实验室笔记本中,并于1959 年 5 月 1 日申请专利。1960 年 9 月 27 日,Jay Last 和他在 Fairchild Semiconductor 的团队推出了第一个基于 Hoerni 平面工艺的单片 IC。我们使用 Hoerni 平面工艺的更先进版本来制造IC 已有 60 多年的历史。那么您认为 IC 行业的起点在哪里?
与这些例子不同,我断言 EDA 行业是在一个非常具体的日期开始的:1964 年 5 月 6 日(距今60年)。那是第一届 SHARE(Society to Help Avoid Redundant Effort)研讨会的开幕日,该研讨会在马萨诸塞州剑桥举行,由 Marie 和Pasquale (Pat) Pistilli组织。如果您从未听说过 SHARE 研讨会,您可能会知道它现在的名称:设计自动化会议 (DAC:Design Automation Conference)。
在 20 世纪 50 年代末和 1960 年代初,Pat Pistilli在贝尔实验室团队担任工程师,该团队正在为美国正在开发的反弹道导弹 (ABM:anti-ballistic missile 系统保障计划开发新型实时计算机陆军保护美国的洲际弹道导弹设施免受多枚来袭核导弹的威胁。为了降低成本,安全保障组织需要利用再入弹道学上的细微差别来区分实际核武器和诱饵武器。为此,保Safeguard Program 需要一台非常快的计算机来分析来自陆基相控阵雷达系统的大量信号,该系统可以同时跟踪多个目标。该计算机所需的估计速度为每秒 1000 万条指令 (MIPS),由多处理器系统提供,每个处理器的运行速度为 1.5 MIPS。
北达科他州卡瓦利尔县的 Stanley R. Mickelsen 安全保卫综合体使用由地下发电厂(左)供电的相控阵雷达(右)
20 世纪 60 年代初,最大、最快的计算机是由单个晶体管构建的。 IC 尚未成熟到可以在系统中使用的程度。 Safeguard 计算机由小型模块构建,每个模块包含三个或四个分立晶体管。这些模块排列在 33×24 英寸的载板上,然后用绕线手工互连。这些载板被插入称为框架的冰箱大小的单元中,并通过背板互连。Pat Pistilli表示,Safeguard 电脑的冰箱大小的框架占据了四个房间。 (贝尔实验室熟悉绕线构造技术,因为它在其电话交换局的机电交叉开关中使用了这种类型的互连。事实上,贝尔实验室开发了该技术,随后将绕线工具制造外包给 Keller Tools。 )
Safeguard 计算机项目的规模和复杂性促使 Pistilli 开发了一种自动化系统,用于在载板上布置(放置)大约 30 个不同的标准化晶体管模块并将它们互连。从概念上讲,这些模块类似于当今用于 ASIC 设计的标准单元。 Pistilli 的系统称为 BLADES(贝Bell LAbs DEsign System)),将模块放置在载卡上,并将 Wire-Wrap 电线布线到各个模块。 BLADES 将每个载板所需的设计、布局和调试时间从六个月减少到一个月。由于存在管理电线布线方式的规则,BLADES 还包括一个早期的设计规则检查器。
BLADES 软件在基于管(tube-based)的 IBM 704 计算机上运行,该计算机可以寻址 8096 个 36 位字的磁芯存储器,并具有 32 个磁带驱动器(magnetic tape drives)。当 Gardner Denver 开发出一种可以自动布线、切割、剥离和缠绕电线的自动化绕线机时,Pistilli 开发了一种控制器,允许 BLADES 计算机使用自动化 Gardner Denver 机器直接制造载板。载板的自动化生产将板的制造时间从一个月减少到一两天。 BLADES 显然是一个早期的 EDA 系统。
EDA 会议的想法源于 Pistilli 与一位在 IBM 从事类似自动化工作的朋友喝酒时产生的想法。这位朋友的名字叫 Joe Behar,在 IBM 从事图形显示工作。两人定期会面,并意识到与更多也在应对类似挑战的工程师会面会很有用。 Pistilli 和 Behar 在 1962 年迈阿密举办的 IEEE 研讨会上组织了“物以类聚”(a Birds of a Feather)会议,该会议非常成功,Pat 和 Marie Pistilli 于 1964 年承办了第一届 SHARE 研讨会。该研讨会接待了 130 多名与会者并盈利。到了第三年,Pistillis 放弃了“SHARE”这个名称,该活动也被称为“DAC”。
60 多年来,DAC 一直是人们开发或使用计算机来解决电子行业设计和制造挑战的熔炉。最初,由于没有商业 EDA 行业,大型系统制造商和半导体制造商都在编写自己的软件。除了贝尔实验室和 IBM 之外,许多大学也在进行重要的 EDA 软件开发,包括加州大学伯克利分校 (UC Berkeley)、加州大学圣地亚哥分校 (UCSD)、加州大学欧文分校 (UCI) 、南加州大学 (USC)、卡内基梅隆大学、密歇根大学、麻省理工学院、德克萨斯大学奥斯汀分校和斯坦福大学等等。通用电气、英特尔、RCA、德州仪器等已经达到足够规模的半导体制造商也在开发自己的EDA软件工具,并创建了大型设计自动化部门来开发和维护这些工具。
例如,1974年底,RCA将整期《RCA Engineer》专门介绍了该公司开发的用于IC和系统设计的CAD和EDA工具。这些工具包括:CRITIC( a design rule checker)、PLOTS(a CAD artwork language)和 ALACARTE(a computerized entry system for artwork)。
Wally Rhines 在其著作《From Wild West to Modern Life: Semiconductor Industry Evolution》中描述了德州仪器 (TI) 的设计自动化工作:“在 TI,我们认为我们的内部 EDA 软件是一种竞争优势。 TI 的 TTL 成功很大程度上来自于能够通过“MIGS”系统自动生成掩模,每周推出一种设计。其他半导体公司也有自己的 EDA 能力。”
来自这些不同组织的代表发现 DAC 是分享设计自动化想法并争论这些想法优点的理想场所。随后,一些更具创业精神的 DAC 与会者开始创办公司,向电子系统公司和半导体制造商提供商业 EDA 工具。商业 EDA 行业始于 20 世纪 60 年代,但直到 1984 年(即该活动创办 20 年后)才在 DAC 上正式展示其产品。在我 1986 年的第一次 DAC 上,会议的执行委员会已经在讨论如何在仅仅两年的展品容纳之后,不让商业展品将活动变成“马戏团”。这是一次公开会议,据我记得,讨论非常激烈。
Pistillis在余生中一直与 DAC 保持着密切的关系。Pat Pistilli于 1964 年、1965 年和 1966 年担任会议主席,并在接下来的几年中帮助举办了该活动。 1984 年商业展品的增加让 Marie Pistilli 相信 DAC 现在已经足够大,需要全职的专业管理人员,因此 Pat Pistilli 从贝尔实验室退休,然后与 Marie 共同创立了 MP Associates。他们的公司管理 DAC 多年。 Pistilli 夫妇于 2000 年从 MP Associates 退休。Marie Pistilli 于 2015 年在车祸中受伤后去世。 Pat Pistilli 于 2020 年去世。今年是 DAC 连续举办 61届,DAC 的存在归功于 Pistillis。 Marie 和 Pat Pistilli 可以轻松地被称为 EDA 行业之父。
CAD 时代
Calma、Applicon、Computervision
商业 EDA 公司在 20 世纪 60 年代开始出现,并形成了某种趋势。在每一代更先进的新 EDA 工具中,三个公司往往占据主导地位。第一代 EDA 是数字化时代。计算机和数字化仪(Computers and digitizers)开始取代包括电气和电子工程在内的所有工程学科中的绘图台和机械绘图机(drafting tables and mechanical drafting machines)。
CAD(计Computer Aided Design or Computer Aided Drafting)时代占主导地位的 EDA 三巨头包括 Calma、Computervision 和 Applicon。
在深入研究这三个先驱公司的历史之前,了解 20 世纪 60 年代初期的电子设计状况非常重要。毫无疑问,您一定见过大型工程绘图的照片,里面摆满了绘图台和机械绘图机。尽管这些照片大多数代表机械、航空和土木工程操作,其中设计了汽车、飞机和结构,但电子设计是相似的。工程师会使用自动铅笔和橡皮在大张牛皮纸上绘制框图或原理图来开始电子设计。从那时起,设计可能会成为印刷电路板,或者最终成为集成电路。然后,电路板或 IC 布局设计人员会将原理图设计转换为物理布局。
在 CAD 系统出现之前,多层电路板的开发是通过使用黑纸皱纹胶带和预切粘合垫手工粘贴迹线来开发的。 Bishop Graphics 是这些布局材料的主要供应商。最终,Bishop Graphics 及其竞争对手开始为晶体管和 DIP IC 等流行元件生产图案。这些多焊盘图案有助于加快电路板设计速度,但这仍然是一个缓慢且容易出错的手动过程。 (注:我在 20 世纪 60 年代和 1970 年代初在高中时使用这些产品设计电路板。) 手工编带板(Hand-taped boards)很有特色。这些板上通常没有直的电路走线,只有弯曲的电路走线。
IC 设计需要更高的精度来制作图案掩模(photographic mask)布局。 IC 布局人员开始擅长从一种称为剥离涂层的材料上切割掩模设计。最著名的剥离涂层品牌是 Ulano Corp 的 Rubylith,该品牌在商业图形行业非常受欢迎,这一行业比 20 世纪 60 年代的芯片制造规模大得多。
IC 掩模设计者熟练地切割和剥离红宝石片材(peeling sheets of Rubylith),以生产放大的光刻掩模,然后将其光学缩小到实际尺寸以用于 IC 制造。在切割红宝石时,需要稳定的手和高度集中的注意力才能使用 X-Acto 刀。与电路板层的图案制作一样,IC 掩模制作是一个缓慢且容易出错的手动过程。幸运的是,早在 20 世纪 60 年代,IC 就只需要很少的掩模。然而,随着 IC 变得越来越大,掩模层数量不断增加,整个流程自动化的压力也越来越大,电路板制造也是如此。
技术人员对 Rubylith 掩模进行修正
从手动掩模制作转换为自动化掩模制作的第一阶段是将手绘掩模数字化,然后使用数字化文件驱动光绘图仪,将数字化图案直接写入照相胶片上。
1.
Calma
第一家提供此类系统的公司是 Calma,该公司于 1964 年由 Ron Cone、Calvin 和 Irma Louise Hefte 以及 Jim Lambert 创立。 Calma 的第一个产品是大型平板数字化仪(digitizer ),可以将图纸或其他片材中的数据数字化。这些数字化仪用于从地图制作到印刷电路板和集成电路制造的多种应用。 Calma 数字化仪使用受限制的光标,通过 X 和 Y 电缆将其固定在数字化表面上。由于 X 轴和 Y 轴可以独立锁定,Calma 数字化仪特别适用于数字化印刷电路和半导体设计,因为它们可以轻松绘制水平和垂直直线。这些数字化仪会将数字化坐标输出到穿孔卡或磁带上进行存储。
最初,Calma 数字化仪基于使用硬连线逻辑的专有电子设备。 (对于微处理器来说还为时过早。)Calma 随后开发了一种基于 16 位 Data General Nova 1200 小型计算机的数字化仪新控制器。与早期的硬连线数字化仪一样,计算机化数字化仪将数据输出到穿孔卡或磁带。高端设备将数据存储在硬盘上。然后,在 1969 年中期,Calma 聘请了 Joe Sukonick,他为 Nova 1200 小型计算机开发了附加软件,将数字化仪转变为交互式图形系统。他开发的系统出现于 1971 年,被称为图形数据站或 GDS。与当今的大多数系统一样,Calma 的 GDS 通过使用泰克存储管显示器(Tektronix storage tube display)添加了图形交互性。
LeadIC Design Canada 首席战略官、《CMOS IC Layout: Concepts, Methodologies, and Tools》一书的作者 Dan Clein 最近在 LinkedIn 一篇有关芯片设计早期的帖子中发表评论,回忆了他在摩托罗拉的日子半导体行业早在 1984 年就开始使用 Calma CAD 系统进行 IC 设计。当时,Clein 使用彩色铅笔、半透明塑料聚酯薄膜和 Calma 数字化系统来开发各种 IC 掩模层。他用红色铅笔表示多晶硅 FET 栅极层,黄色表示扩散掩模层,绿色表示 P+ 离子注入层,紫色表示 n 阱扩散,蓝色表示单金属掩模层,黑色表示接触切口。除紫色外,这些颜色与第 64 页中描述的颜色相同,并用于在 Mead-Conway 开创性的书籍《Introduction to VLSI Systems》中的色板图像上说明 IC 层。
Clein使用具有三种不同比例的三角尺制作了一个大型背光灯台,使特征缩放变得更容易。绘图比例为 1000 倍,因此图纸上的一毫米代表最终 IC 掩模上的一微米。这是一个完全手动的设计过程,因此存在很多错误和重新绘制的线条。 Clein 使用可充电电动橡皮擦来帮助纠正错误并做出 ECO 改变。当图纸完成并检查后,每张聚酯薄膜都通过 Calma 系统手动数字化。
(作者注:20 世纪 70 年代中期,我在 Hewlett-Packard 使用相同的设计技术来定义电路板的掩模层。)
Calma 在 20 世纪 70 年代稳步发展,并于 1978 年被联合电信收购。(如今,联合电信更名为 Sprint,即无线电话运营商。)仅仅 30 个月后,通用电气 (GE) 从联合电信手中收购了 Calma。 GE 将 Calma 重点放在机械工程师的 CAD 系统上,而该公司的电子业务开始下滑。 20 世纪 80 年代,Daisy、Mentor Graphics 和 Valid Logic 的下一代 CAE(计算机辅助工程系统)兴起。 Calma 没有从 CAD 过渡到 CAE,并失去了在电子领域的动力。 1988 年,GE 将 Calma 的电子业务出售给 Valid Logic。
尽管公司被出售,其产品也从市场上消失,但 Calma 从未真正从电子领域消失。原始 GDS 系统及其后继系统(1978 年推出的 GDS II)的数据格式沿用并仍然是 IC 行业用来定义 IC 掩模组层的标准数据库格式。 GDS II 文件是整个 IC EDA 行业所依据的基础交换标准之一。
2.
Applicon
Applicon 是三大 CAD 公司中下一个成立的公司。一群程序员——Gary Hornbuckle、Fontaine Richardson、Richard Spann 和 Harry Lee——当时在麻省理工学院林肯实验室研究高级交互式图形,他们认为这项技术将为一家新公司奠定良好的基础。最初,他们将公司命名为 Analytics, Inc。但是,“Analytics”这个名称已被占用,因此创始人发明了新名称:Applicon。
林肯实验室所做的部分工作是开发使用手写笔在平板电脑上输入的命令手势。这种形式的命令输入成为 Applicon 产品的定义特征之一。 GE是早期投资者,最终拥有该公司28%的股份。最初,该公司的目标是印刷电路板和集成电路设计。早期的系统基于 IBM 的 1130 计算机,并使用来自 MIT 衍生公司 Computek 的带有存储管显示器、键盘和平板电脑的交互式终端。 Applicon 选择了 IBM 1130 计算机,因为它可以租赁,并且 Applicon 认为避免最初购买硬件将有助于某些销售情况。然而,Applicon 在只销售了少量基于 IBM 的系统后,转而使用数字设备公司 (DEC) PDP-11 小型机。该公司几十年来一直与 DEC 合作。
与 Calma 和 Computervision 专注于向企业绘图部门销售系统不同,Applicon 专注于研发实验室的设计部门。与竞争对手不同的是,Applicon 提供了泰克存储管显示器(Tektronix storage-tube displays)和基于 Applicon 自己的基于位片技术(bit-slice technology)的高性能 32 位图形处理器的光栅显示器(raster displays)的组合,该处理器独立于系统的中央处理器处理图形任务。到1981年,该公司90%以上的终端出货量都是光栅设备(raster devices)。 Applicon 还在 1977 年设计并制造了自己的大幅面喷墨彩色绘图仪(large-format, ink-jet color plotter)。该绘图仪不是在线设备。它由磁带驱动器供电。
到 1980 年,Applicon 开发了机械 CAD 软件,例如实体建模软件包。该公司最强劲的市场仍然是电子设计,但未来销售潜力最大的似乎是机械 CAD 系统,因此 Applicon 相应地重点关注其软件开发。 Applicon 于 1980 年 7 月 22 日上市。在 Applicon 公开发行之前,通用电气提出收购其不拥有的该公司剩余 72% 的股份,但遭到拒绝。随后,GE 收购了另一家 CAD/CAM 供应商并收购了 Calma。仅仅 14 个月后,Schlumberger以全股票交易收购了 Applicon。然后,在 1985 年,Schlumberger将 Applicon 与专门从事数控软件的 MDSI 合并。与此同时,Schlumberger剥离了 Applicon 的电子业务,并将其分配给Schlumberger司结构内的另一个名为 Factron 的实体,该实体生产电子测试设备。结果,Applicon 的电子业务萎缩了。
Schlumberger于 1986 年撤销了这一决定,但损害已经造成。电子设计应用程序已从公司的主要产品供应上升到销售额的 10% 左右。 Applicon 现在基本上是一家机械 CAD 公司。到 1993 年,Schlumberger似乎厌倦了 Applicon,并将其卖给了一家名为 Gores Enterprises(现称为 Gores Group)的私募股权集团,该集团专门收购属于大公司子公司的技术相关公司,削减员工和管理费用,并专注于对现有客户的销售。到 1999 年,Gores Enterprises将 Applicon 的剩余资产卖给了 Unigraphics Solutions。那时,Applicon 的收入主要来自软件维护。
3.
Computervision
Philippe Villers 在 20 世纪 40 年代逃离被占领法国,经加拿大来到美国。在获得哈佛大学本科学位和麻省理工学院 MSME 学位后,他在 GE 的管理培训项目中度过了几年。随后,他在 Perkin Elmer、Barnes Engineering、Singer-General Precision 的 Link Division 和波士顿的 Concord Control 工作。 1969 年,Villers与马丁·艾伦 (Martin Allen) 创立了 Computervision,后者曾是Villers在 Singer 的老板。除了Singer 之外,艾伦还曾在 TRW 和 Martin-Marietta 工作过。
Villers 和 Allen 计划进入 CAD 市场,专门针对电子市场,但公司在开发 CAD 产品时需要立即获得收入,因此 Villers 设计了一款自动掩模对准机,出售给半导体行业。他从新兴半导体设备行业的早期进入者 Kulicke & Soffa 购买了手动掩模对准器,并添加了机械驱动机构和控制电子设备,将对准器转换为自动化使用。 Computervision 的 Autolign 迅速成为该公司的畅销产品,并且多年来一直是该公司的重要收入来源。
该公司将其首款 CAD 产品命名为 CADDS-1(Computervison Automated Design and Drafting System),瞄准印刷电路和一般 2D 绘图市场。 CADDS-2 添加了 IC 设计功能。尽管该公司最初的目的是将其 CAD 系统建立在计算机分时系统的基础上,但当时的 300 波特电信系统(300-baud telecommunications systems)根本不支持交互式设计。 CADDS-1和-2运行在16位Data General Nova 1200小型机上,并使用16位数据库进行设计,很快就达到了极限。
与这个时代的大多数 CAD 系统一样,CADDS 系统使用泰克存储管显示器(Tektronix storage-tube displays)。 CADDS-2/VLSI 于 20 世纪 70 年代末推出,基于在 Computervision 的 CGP-100 图形数据处理器上运行的 32 位数据库,该处理器很大程度上基于 Data General 的 Nova 架构,但具有扩展的内存寻址和附加图形指令 Data General 对 Computervision 盗用其小型计算机架构并不感到兴奋。
1979 年左右的 Computervision CADDS-3 系统
CADDS-3 专注于 3D 机械设计,公司开始对电子市场失去兴趣。 1981 年 1 月,Villers在两项新的合资计划遭到拒绝后离开了公司。其中一项建议是建立一种低成本系统,将计算机和终端组合成一个集成系统。今天,我们将这些称为系统工程工作站,它们将在 20 世纪 80 年代成为一项巨大的业务。Villers的另一个建议是将Computervision带入机器人和人工视觉市场。离开 Computervision 后,Villers 创立了一家名为 Automatix 的公司,进入了这两个市场。
最终,Computervision 将采用 Sun 工作站并结束其计算机制造工作。到 20 世纪 80 年代末,Computervision 坚定地专注于机械和 AEC(建筑、工程和土木或建造)市场。 Prime Computer 于 1988 年收购了 Computervision,但该公司几年前就不再是电子市场的一股力量。
与此同时,三个新公司正在稳步前进。
这些公司并不是 CAD 公司,因为电子行业现在需要的设计支持超出了计算机辅助绘图所能提供的范围。该行业需要 CAE(计算机辅助工程)系统来提高生产力,该系统是为满足开始开发复杂多层电路板、定制 IC 和门阵列的电子工程师的需求而量身定制的。 20 世纪 80 年代,三个新公司崛起,引领了这个爆炸性的新市场。
CAE 时代
Daisy、Valid 和 Mentor Graphics
到 20 世纪 70 年代末,包括 Calma、Applicon 和 Computervision 在内的领先 CAD 公司开始对电子市场失去兴趣,转而转向机械 CAD。这种兴趣的缺乏很可能反映了电子和半导体公司对高效绘图系统之外的其他东西的需求。 CAD 系统生成的绘图完全能够生成电路板和 IC 的光掩模,但这些系统能够理解多边形。他们不理解这些多边形所代表的电子设备。 CAD 系统可能知道 14 针和 40 针 DIP 之间的区别,但他们不知道 NAND 门、微处理器或 DRAM 是什么。
随后,1979 年,加州理工学院 (Caltech) 的卡弗·米德 (Carver Mead) 教授和施乐帕洛阿尔托研究中心 (Xerox PARC) 的林恩·康威 (Lynn Conway) 向电子行业投下了一颗重磅炸弹——出版了一本名为《Introduction to VLSI Systems》(通常被称为“Mead and Conway”)的教科书。该教科书提出了一种结构化 IC 设计方法,该方法基于 Mead 从 1970 年开始在加州理工学院教授的 MOS IC 设计课程,以及 Conway 的许多实际贡献。
1976 年,施乐帕洛阿尔托研究中心邀请米德教授他的课程的高度压缩的 3 天版本。 1973 年加入 PARC 的林恩·康威 (Lynn Conway) 就在观众席中。在加入 PARC 之前,Conway 在 IBM 和 Memorex 开发计算机架构。她抓住了 Mead 的想法,开发了可扩展的设计规则和用于开发 VLSI IC 的正式方法,并创建了一种多项目芯片 (MPC:multiproject chip) 方法,用于将多个设计放在一个晶圆上,以降低 IC 原型设计的成本。
1976 年初,施乐帕洛阿尔托研究中心 (Xerox PARC) 和加州理工学院 (Caltech) 启动了一项合作研究项目,旨在探索更简单的硅设计系统方法。加州理工学院的米德和帕洛阿尔托研究中心的康威加入了该项目。 Mead 向 PARC 教授 MOS 设计,Conway 向 Mead 教授计算机架构和设计。加州理工学院硅结构项目的其他企业合作伙伴包括 IBM、英特尔、数字设备公司和惠普。这次合作最重要的成果被称为 VLSI 设计的 Mead-Conway 方法。 1976 年 Mead 在 PARC 发表演讲后不久,Doug Fairbairn 就开始为 Conway 工作。 Fairbairn在他的口述历史中描述了所发生的事情:
“因此,在 76 年到 78 年期间,我主要与 Lynn [Conway] 合作,帮助完善这种方法并找出哪些有效,哪些无效,设计芯片,制造它们,弄清楚如何你”我们要制作掩模,如何制作多项目芯片。另一个人艾伦·贝尔(Alan Bell)当时也加入了。因此,林恩 [康威] 开始围绕整个活动建立一个真正的小组。然后就在那里,我不知道他们具体什么时候开始,但林恩和卡弗开始合作编写《Introduction to VLSI Systems》一书。
“……每个人都问,是Carver写的吗?是Lynn 写的还是别的什么?显然,核心思想都是从Carver开始的。Lynn 的背景是计算机体系结构。但她可以看到——她可以看到 VLSI 所承诺的未来,就像 Ivan Sutherland 看到的那样,如何将某些计算机架构映射到非常高密度、高性能的 VLSI 电路中——如果你认为它们是 VLSI 架构,而不是普通的门级架构。”
“……Lynn与Carver合作写了这本书。Lynn亲自写了这本书。我认为书中的每个角色都是Lynn打造的。但大多数高层次的想法都来自Carver。所以,Carver仍在加州理工学院任教。他每周会来一两天。他们通常会坐在Lynn的办公室里聊天。Lynn会打字,因为她有一台 Alto(电脑)并且正在打字。使用 Alto,您可以绘制图表和图片以及所有这些东西。所以,她创作了那本书,实体地创作了这本书,并且我确信,她贡献了许多详细的想法、说话的方式等等。她非常注重细节。所以,这在很大程度上是一次合作。我的意思是,如果没有他们中的任何一个,这本书就不可能出现。Carver永远不会写它。Lynn永远不会有这个想法。所以,这是一个完美的合作范例。”
Mead-Conway 设计方法的消息通过 IC 设计的大学课程和企业课程传播开来。
1978 年,Conway 根据这些材料在麻省理工学院教授了类似的课程,她丰富的讲师笔记和录像带被数十名大学教授用来培训整整一代 IC 设计工程师。基于这种方法并使用教科书手稿和Conway 教学笔记和录像带的课程由加州理工学院的Ivan Sutherland、卡内基梅隆大学的Robert Sproull 和圣路易斯华盛顿大学的Fred Rosenberger讲授。
突然之间,更多想要设计自己的专用 IC 的工程师现在有了一种方法来实现这一目标。当然,他们需要更好的工具。因此,Mead-Conway VLSI 设计方法引发了计算机辅助工程 (CAE:computer-aided engineering) 工具的新浪潮,并培训了许多开发这些工具的工程师。这一浪潮在 20 世纪 80 年代初袭来,三家公司的诞生在这十年中占据主导地位:Daisy Systems、Mentor Graphics 和 Valid Logic。
1.
Daisy Systems
两位英特尔工程师 Aryeh Finegold 和 David Stamm 于 1980 年或 1981 年创立了 Daisy Systems。(这两年在不同的历史中都有出现。)David Stamm 于 1974 年 1 月加入英特尔,成为该公司微处理器团队的第六人。最初,他修复了公司 4004 和 4040 微处理器中的错误。然后,他设计了 Intel 4308,这是 Intel 4040 的支持芯片,结合了 1 KB ROM 和一些 I/O 扩展端口。随后他加入了英特尔的 8048 微控制器项目。Stamm在接受母校普渡大学采访时表示:
“在英特尔,我的一位同事决定创办自己的公司。他正在享受一生中最快乐的时光。我看到他的公司从构思阶段到交付产品的进展,我决定这就是我想做的事情。我花了很多夜晚和周末与我的第一家公司 Daisy Systems 的另一位最终创始人一起集思广益,讨论了几个不同的想法。”
“我们问了很多问题:这个产品有市场吗?我们可以建造它吗?我们会把它卖给谁?我们回到了一个接近我们所知道的想法。 Daisy Systems 将构建软件,英特尔的工程师可以使用该软件更好地设计微处理器。他们的工作将变得不那么劳动密集并且更加高效。 Daisy 从 1980 年中期的一个想法发展成为 1985 年底价值 1.4 亿美元的公司。”
Stamm提到的同事是阿耶·费内戈尔德(Aryeh Finegold),他在开始工程生涯之前曾担任以色列国防军的伞兵指挥官。1977 年,他移居美国,开始在英特尔工作,领导一个开发下一代微处理器架构的团队。在英特尔工作期间,他注意到电子设计缺乏自动化,并决定他可以为此做点什么。 Finegold 和 Stamm 从英特尔招募了其他几位工程师,并创办了 Daisy Systems。他们还聘请了 Calma 电子部门的营销副总裁 Harvey Jones,并任命 Vinod Khosla 为首席财务官。
Daisy 迅速成为 CAE 领导者,提供用于原理图捕获、逻辑仿真、模拟 SPICE 仿真、时序验证、参数提取、印刷电路板设计和半导体芯片布局的各种设计工具。正如当时常见的那样,Daisy 设计了自己的工作站来运行其设计工具。 Daisy 的 Logician 和 Gatemaster 工作站及其后续产品基于 Intel 微处理器,并添加了硬件图形加速。
Daisy Logician 工作站,约 1983 年
Daisy 的 CAE 工具曾一度大受欢迎,但后来却不再受欢迎。
从 1980 年到 1985 年,Daisy 的销售额从零飙升至 1.22 亿美元,但公司的命运在 1986 年发生了逆转,因为其专有工作站的选择使公司陷入了困境。对于 CAE 时代的多家公司来说,同时升级 CAE 软件和工作站的硬件设计是一个糟糕的决定。 1986 年中期,Daisy 的股价从 37 美元跌至 5 美元,董事会罢免了 Finegold。 1989 年,Finegold在接受采访时为自己的记录进行了辩护,他说:“多年来,任何向 Daisy 投资 10,000 美元的人都会收到 300 万美元。如今,Daisy 的前 200 名员工全部都是百万富翁,其中包括秘书和门卫。”
1988 年,Daisy 决定通过收购 Cadnetix(另一家成立于 20 世纪 80 年代初、专门从事印刷电路板设计的 CAE 公司)来尝试增强其销售额。Daisy 聘请Bear Stearns作为此次收购的财务顾问。然而,Cadnetix 不希望根据拟议条款被收购,因此 Daisy 通过收购 Cadnetix 股票发起了敌意收购。Bear Stearns和Daisy随后修改了合作条款,表示Bear Stearns还将协助Daisy获得交易融资。Bear Stearns签发了两份金额分别为 5000 万美元和 1 亿美元的高度自信的信用证,为该交易提供融资。
大约 1985 年的 Cadnetix CAE 和 CAD 工作站
在得知Bear Stearns有意为此次收购提供资金(无论是敌意与否)后,Cadnetix 改变了立场,开始就友好收购或合并进行谈判。 Daisy 和 Cadnetix 同意分两阶段合并,Daisy 将首先以现金购买 50.1% 的已发行 Cadnetix 股票。然后,六个月后,Daisy将使用现金和可转换债券购买剩余的股票。完成第一期后,Daisy开始安排第二期的融资,但事情进展并不顺利。Daisy被迫向Bear Stearns申请过桥贷款。该请求被拒绝,但Bear Stearns告诉黛西,海勒金融愿意为第二阶段的合并提供资金,但条件对Daisy不利。最终,整个反向收购/合并失败了。合并后,Daisy 的财务状况恶化,债权人迫使该公司于 1990 年根据美国破产法第 11 章非自愿破产。
(注:从 1982 年到 1985 年,我在 Cadnetix 设计了基于 Motorola 68000 微处理器系列的工作站。在这次合并业务开始之前我就离开了。)
2.
Valid Logic
1980 年,Jared “Jerry” Anderson博士想要创办一家新技术公司。他创立了多家初创公司,包括 Decision Inc(一家为 Data General 小型机提供磁盘和磁带控制器的供应商)和 Two Pi Corp(生产执行 IBM 370 指令集的小型机)。 Two Pi V32 小型机基于由 4 位 AMD 2901 处理器片构建的微编码处理器。 Ball Corp 收购了 Decision, Inc,NV Philips 收购了 Two Pi。Anderson希望继续他的连续创业精神,他的追求将他带到了劳伦斯·利弗莫尔国家实验室 (LLNL:Lawrence Livermore National Lab),那里的两名博士生汤姆·麦克威廉姆斯 (Tom McWilliams) 和科特·维多斯 (Curt Widdoes) 在过去五年里一直致力于 S-1 超级计算机项目。
Anderson来到 LLNL 并非偶然。这些都是熟悉的地方。当 LLNL 更名为加州大学辐射实验室利弗莫尔分校时,安德森在 LLNL 获得了自己的博士学位。他曾在开发hydrogen bubble chamber的团队中工作,该室用于研究粒子相互作用和共振态。 Luis W Alverez 教授领导了该团队,并因这项工作荣获 1968 年诺贝尔物理学奖。当联邦为 LLNL 的工作提供的资金开始枯竭时,Anderson离开了 LLNL,开始发展高科技业务。
Anderson读过有关为 S-1 计算机项目开发的 SCALD 设计工具的已发表论文,该项目是劳厄尔·伍德 (Lowell Wood) 博士的创意,他是 LLNL 的物理学家,也是爱德华·泰勒 (Edward Teller) 的得意门生。伍德设想 S-1 项目分为五个阶段,但只完成了前两个阶段。第 1 阶段于 1978 年完成,称为 Mark 1。它是一台单节点、10-MIPS 计算机,由 5300 个主频为 10MHz 的 ECL-10K IC 构建而成。 Widdoes 和 McWilliams 设计了 Mark I 硬件。 Mike Farmwald 编写了微代码。 Jack Rubin 加入了团队并帮助调试机器。 Mark IIA 计算机是一款基于 ECL-100K IC 的 15-MIPS 计算机,直到 Valid Logic Systems 成立后才完成。
然而,S-1 项目最重要的部分不是硬件,而是为创建硬件而开发的图形化、分层设计工具。这些工具统称为 SCALD(structured computer-aided logic design:结构化计算机辅助逻辑设计)。第一代 SCALD 工具基于斯坦福大学绘图系统 (SUDS:Stanford University Drawing System),这是一种图形原理图捕捉系统。 SUDS 类似于 Calma、Applicon 和 Computervision 的第一代 2D CAD 工具。 McWilliams 编写了宏扩展器,Widdoes 编写了有线路由器和网表程序,以完善第一代 SCALD 工具集。第二代SCALD工具增加了打包器(packager)、时序验证器和自动布局器,分别由Widdoes、McWilliam和Rubin编写。
这三人同意与 Anderson 一起创立 Valid Logic Systems,该公司于 1981 年 1 月成立。最初,Widdoes 加入 Anderson 一起创办了公司。 McWilliam 和 Rubin 留在 LLNL 完成 Mark IIA 计算机,但同时担任 Valid Logic 的顾问。 SCALD 软件已进入公共领域,成为 Valid Logic Systems 开发的工具的原型。事实上,该公司最初的名称是 SCALD Corp,但财务支持者并不关心这个名字。 SCALD 工具是大学级别的。它们没有被产品化,而是用 Pascal 编写的,因此在 Valid 工具的开发过程中,它们被完全用 C 重写,该工具最初专注于门阵列和电路板设计。与 Daisy 一样,Valid 选择设计和构建自己的工作站硬件。这是一个显而易见的选择,因为该公司是由一群计算机设计师创立的。
最初,Valid Logic Systems非常成功。该公司于 1983 年成功首次公开募股,即成立仅两年。公司的成功仍在继续,Valid 于 1988 年 1 月收购了 Calma(最初的三大 CAD 公司之一)的电子部分。然而,随着时间的推移,专有工作站的使用被标准工程性能的不断提高所掩盖。 Apollo、Hewlett-Packard 和 Sun 等公司的工作站。Anderson坚持要求 Valid 保留其专有的工作站设计,而该公司开始落后。 Cadence Design Systems 于 1991 年收购了 Valid。
3.

Mentor Graphics
与在硅谷及其周边地区起步的 Daisy 和 Valid 不同,Mentor Graphics 的故事始于更北的俄勒冈州比弗顿。 Tom Bruggere 在主要大型计算机制造商之一的 Burroughs 工作了几年后,于 1977 年初加入泰克(Tektronix)。他离开Burroughs是为了躲避南加州拥挤的人群。Bruggere的专长是软件。在 Burroughs,他开发了操作系统。在泰克,他负责管理公司台式计算机的软件应用程序开发。当时,泰克公司提供了一款基于该公司存储管显示技术的台式计算机。显示技术在 20 世纪 70 年代几乎被所有 CAD 公司所采用,但泰克并不是一家计算机公司,其 4051 台式计算机配备 8 位摩托罗拉 6800 微处理器以及大型、缓慢的 DC300 集成磁带驱动器,无法与 Tektronix 竞争。诸如主要竞争对手惠普的 9825 和 9845 之类的机器。 (相信我,我当时在惠普台式电脑部门工作。)
泰克于 1979 年开始开发下一代台式计算机。该公司计划开发自己独特的微处理器 IC,从头开始为该专有处理器编写操作系统,为其独特的存储管显示器创建图形子系统,并开发用于这个完全专有系统的应用软件套件。Bruggere看出这个项目正在走向麻烦,当它在 1980 年崩溃时,他开始认真考虑创办自己的公司。
Bruggere与他的一些朋友和同事聚在一起,下班后,他们讨论了他们可能创建的公司类型。同事之一杰拉德·H·兰格勒 (Gerard H. Langeler) 在一篇题为“The Vision Trap”的文章中写道:
“根据我们的才能和背景,我们知道该产品应该与计算机图形学有关,并且没过多久我们就确定最有前途的应用领域是计算机辅助工程或 CAE——计算机图形学的自动化为设计复杂集成电路和印刷电路板的工程师提供原理图捕获和仿真。”
当然,正是在同一时期推动了 Daisy 和 Valid 的创作的同样的观点。Bruggere 首先离开泰克,一个月后,同样来自泰克的 Gerry Langeler 和 Dave Moffenbeier 加入。三人于 1981 年 4 月创立了 Mentor Graphics,并开始聘请软件工程师来开发创建 CAE 工作站所需的程序。然而,与 Daisy 和 Valid 不同的是,Mentor 并未开发专有工作站。由于 Bruggere 之前在 Burroughs 和 Tektronix 从事过硬件开发,因此他不愿意让 Mentor 重新学习这些经验教训。幸运的是,Mentor 的一位最初支持者也投资了最早的工作站公司之一 Apollo Computers,因此 Mentor 最初采用了 Apollo 工作站,并通过与 Apollo 的 OEM 协议进行销售。 CAE时代的DMV公司都从硬件销售中获得了可观的收入。
随着公司成立并选定工作站硬件,核心团队开始招募工程师(主要来自泰克)来开发所需的软件。他们的目标完成日期是 1982 年 6 月在拉斯维加斯举行的设计自动化会议。他们在最后一刻匆忙完成 IDEA 1000 CAE 工作站,但及时做好了演示准备。 IDEA 1000 CAE 工作站大受欢迎,Mentor 团队为未来的销售拜访做了多次预约。到 1982 年底,该公司成功交付了价值约 160 万美元的 CAE 系统。从那时起,Mentor 的收入稳步攀升,直到 1990 年出现了麻烦。
Mentor 决定重写其整个软件套件,以适应具有统一数据库的统一框架。新产品被称为version 8.0(eight dot oh)。然而,该公司在应对version 8.0时本质上是试图吞下一条鲸鱼。该产品延迟严重,以至于“eight dot oh”在 Mentor 销售人员和客户中被广泛称为“late dot slow”。更糟糕的是,由于 Mentor 的客户知道该软件的新版本即将推出,因此由于奥斯本效应,当前产品的销量在 1991 年下降,并在 1992 年和 1993 年继续下降。当version 8.0最终到来时,它的性能验证了其绰号中的“slow”部分。Mentor 现在正处于严重的生存危险之中。Langeler和Moffenbeier离开了公司,新任首席执行官Wally Rhines上任。随后,Mentor 为了生存经历了痛苦的转变——不再是一家 CAE 公司,而是一家 EDA 公司。
EDA 时代
Cadence、Synopsys 和 Mentor Graphics
不断上升的复杂性推动了功能更加强大的电子设计工具的诞生。当电路板和 IC 布局摆脱了铅笔、纸张和手工操作的限制时,Applicon、Calma 和 Computervision 的 CAD 工具出现了。当第一个门阵列出现时,多边形表示不再足够(when polygon representations no longer sufficed as the first gate arrays appeared),Daisy、Mentor 和 Valid 的 CAE 工具出现了。这些 CAE 公司试图为 IC 和电路板提供一体化设计套件。
然而,摩尔定律导致 IC 的复杂性远远超出了这些 CAE 设计工具套件的能力。更复杂的 IC 需要更精细的工具来进行逻辑仿真、时序分析和设计规则检查,从而推动 CAE 时代演进到 EDA 时代。与 CAD 和 CAE 时代一样,三个公司再次主导了 EDA 时代:Cadence、Synopsys 和 Mentor Graphics。
1.
Cadence Design Systems
Jim Solomon 于 1960 年越南战争期间从加州大学伯克利分校获得了电子工程硕士学位。他很快就在加利福尼亚州里弗赛德的摩托罗拉系统研究实验室找到了一份工作,因为正如Solomon 在他的口述历史中解释的那样,“这必须是一份国防工作,否则我就会被征召入伍。”在雷达和导弹控制系统领域工作了三年后,他意识到自己不想再从事军事项目了。 Solomon 调到位于菲尼克斯的摩托罗拉半导体产品部门,并在接下来的七年里设计模拟 IC,包括运算放大器、稳压器、模拟乘法器、TV电路和立体声解码器。
随后,C. 莱斯特·霍根 (C. Lester Hogan) 和他的几位副手离开了摩托罗拉,以填补仙童半导体公司因罗伯特·诺伊斯 (Robert Noyce)、戈登·摩尔 (Gordon Moore) 和其他几位才华横溢的经理和技术人员离开而造成的管理漏洞。与半导体中的空洞流有些奇怪的相似之处,霍根的离开在摩托罗拉造成了类似的空洞,Solomon开始寻找替代工作。他加入美国国家半导体,管理一个开发混合信号模拟器件的团队,包括 A/D 和 D/A 转换器、开关电容器滤波器和电话 IC。
早期的个人电脑激起了Solomon的好奇心,因此他购买了一台 Radio Shack TRS-80 微型计算机并自学了如何编程。不久之后,他将 1974 年有关该主题的技术论文中的运算放大器理论编码到 TRS-80 中,并开始为他的团队在国家半导体开发的模拟电路开发高级计算机宏模型。很快,他就拥有了一个模型库,其中包括迄今为止设计的所有重要运算放大器。他还使用电话调制解调器连接到运行 SPICE 电路模拟器的分时计算机,对测试电路进行了编码并在 TRS-80 上运行电路模拟。 Solomon 的宏模型的运行速度比晶体管级 SPICE 模型快 100 倍。一路上,Solomon还学习了如何编写编辑器、解析器、测试语言和控制程序。与此同时,在国家半导体,IC 变得太大而无法进行手动设计,而Solomon的 TRS-80 经验表明计算机可以提供帮助。
1980 年左右,Solomon开始与加州大学伯克利分校的Don Pederson 教授(SPICE 的创建者)、Alberto Sangiovanni-Vincentelli 和 Richard Newton讨论他的 IC 设计自动化想法。最初,Solomon认为他会为国家半导体公司的团队开发设计工具。最终,Solomon意识到他的想法和抱负对于一家半导体公司来说太大了。在他的口述历史中,他说:
“我考虑了几个星期,最后决定最好的方法是成立一家由半导体公司联盟(CAD 工具的客户)资助的新公司。对于像美国国家半导体这样的公司来说,单独融资需要太多资金。”
Solomon向国家党首席执行官Charlie Spork 提出了这个想法。在他的口述历史中,他说:
“大多数首席执行官都会担心我可能会跑去一家初创公司——他们会尽一切努力劝说我不要这样做。那是我的顶头上司的立场。Charlie却反其道而行之。他尽其所能地帮助了我,并成为了这家新企业的第一位投资者。”
凭借这笔种子资金,Solomon拜访了美国和欧洲约 20 个潜在的半导体合作伙伴。首先只有Harris Semiconductor公司认同Solomon的愿景。最终,LM Ericsson 加入。Solomon Design Automation (SDA) 于 1983 年 7 月成立,并立即开始招聘。十八个月后,该公司在拉斯维加斯 1985 DAC 上展示了其首个 EDA 系统。
SDA 主要专注于 IC 设计工具,反映了 Solomon 在 IC 设计方面数十年的经验。随着销售额的增长,SDA 于 1987 年开始考虑首次公开募股。该公司为首次公开募股做好了准备,并将其定于 1987 年 10 月 19 日星期一。在股票市场上,这一天被称为黑色星期一,因为这一天是道琼斯工业指数平均下降22.6%,为此SDA 取消了 IPO。
相反,SDA 决定通过与另一家已上市的 EDA 公司 ECAD 合并来上市。 Glen Antle 于 1982 年与 Paul Huang 和 Ping Chao 共同创立了 ECAD。当 Gould 收购该公司并分拆其 CAD 部门时,Antle 一直在系统工程实验室 (SEL) 的微电子产品部门工作。该小组后来成为 ECAD,于 1983 年推出了名为 Dracula 的设计规则检查器。Dracula 很快就占领了市场。
ECAD 于 1987 年上市,即黑色星期一前几个月,并于 1988 年与 SDA 合并。合并后的公司更名为 Cadence Design Systems。
Solomon 和 Antle 都不想成为 Cadence 的首席执行官。Solomon提名 SDA 的后起之秀乔·科斯特洛 (Joe Costello) 担任新任首席执行官。Antle因Costello的年轻而犹豫了(当时他还不到 30 岁),但他同意尝试Costello担任首席运营官三个月。Antle在不到一个月的时间里态度就软化了。他对Costello印象深刻,并告诉Solomon,Costello比宣传的要好。乔·科斯特洛 (Joe Costello) 成为 Cadence 的首席执行官,而安特尔 (Antle) 和Solomon (Solomon) 则另谋出路。Costello随后开始打造 EDA 帝国。
2.
Synopsys
根据 Aart de Geus 的口述历史,他在到达德克萨斯州达拉斯的南卫理公会大学 (SMU:Southern Methodist University) 攻读博士学位的那一刻就遇到了 Ron Rohrer。 Rohrer 在加州大学伯克利分校编写了 SPICE 模拟器的前身,该模拟器被称为“Computer Analysis of Nonlinear Circuits, Excluding Radiation”或 CANCER。与此同时,Rohrer 刚刚成为 SMU EE 系的主席。同一天,Rohrer成为de Geus的博士生导师。那次会议和这种关系的好处立即开始并持续了很多年。
正如de Geus在他的口述历史中描述的这种持续的关系:
“……Ron搬过很多地方,在在 SMU 的停留时间并不长。大约一年、一年半后,他继续前进,最终来到了通用电气。当我完成课程作业时,是时候弄清楚博士论文要做什么了。他仍然隶属于 SMU,他说:“你为什么不来我家住几天呢?”当时,他在弗吉尼亚州夏洛茨维尔。
“我最终在他的地方呆了三个月,这给指导某人的概念赋予了新的含义。事实证明,我认为Ron一生中大约只有八九个博士生,而且我认为他们都做出了各种形式的相当好的贡献。但其中一部分是通过一起喝一杯酒来培养的。
“但发生的另一件事是,当我在那里呆了三个月时,他同时成为了通用电气的经理,并基本上与我签约开始在通用电气工作。他说:“好吧,你在通用电气工作期间可以攻读博士学位。”好吧,现实是,我确实在晚上完成了博士学位,很快 GE 的工作就变得非常有趣,而且我也有机会在那里构建东西。”
GE 是最早的半导体制造商之一,于 1954 年创建了 GE Solid State 来生产锗晶体管。该公司于 1980 年收购了半导体制造商 Intersil,然后于 1981 年 4 月 1 日收购了最初的 CAD 公司之一 Calma。GE 还在北卡罗来纳州创建了一个电子设计自动化小组,Rohrer 和 de Geus 于 1981 年搬到了那里。 1985 年的经济低迷加上 Calma 糟糕的财务业绩严重影响了通用电气首席执行官杰克·韦尔奇的管理智慧。韦尔奇认为还有更有利可图的事情可以做,通用电气失去了对半导体的兴趣。
面对迫在眉睫的裁员(韦尔奇的绰号是“Neutron Jack”,因为他轻松地解雇了通用电气的员工,同时保留了建筑物以削减成本并提高生产率),de Geus采访了其他涉及半导体的公司,发现许多技术GE的设计自动化小组开发的软件相对于其他公司的类似部门来说是相当先进的。
于是,de Geus以Jim Solomon最初的SDA商业计划为模板,为一家EDA公司写了一份商业计划,并提交给GE的副董事长。在那次会议上,他得到了肯定,并承诺提供 100 万美元的风险投资。他的商业计划要求筹集 500 万美元,因此他所需要做的就是再筹集 400 万美元。
这项任务花费了一个多小时,de Geus 也于 1986 年创立了自己的 EDA 业务,最初称为 Optimal Solutions。一年后,该公司更名为 Synopsys。该公司的第一个产品是逻辑综合工具。
此前,GE 的设计自动化团队开发了一种名为 SOCRATES 的逻辑综合程序,以帮助开发 GE 门阵列的设计。正如de Geus在他的口述历史中所解释的那样:
“第一个好处是您可以编写函数,20 分钟后,您实际上就会得到一个网表。这就是所谓的自动化。第二个好处是,与手动操作相比,[SOCRATES]通常使用更少的门。就在那里,这是一个很大的好处,因为越少越好,因为越少的芯片最终会进入更小的区域。后来的好处是,随着我们的发展,我们也设法开始考虑,“好吧,通过这个的最长信号在哪里,我们可以让它更短吗?”即,我们能让电路更快吗?在接下来的几年里,它本身发生了巨大的变化,并在这个故事的后面真正成为了一个与众不同的因素。但本质上,它是人类可以完成的步骤的自动化,如果你有三、四个门,人类就非常好。当你有了 30 个[门]的时候,就真的很难了。到了300个的时候,手动就完全不可能了。”
Synopsys 重写了 SOCRATES,从 Fortran 移植过来。然后 de Geus 带着演示程序上路了。他的一站是 Sun Microsystems,在那里他向 Sun 创始人 Andy Bechtolsheim 演示了该工具。de Geus在他的口述历史中描述了接下来发生的事情:
“他们决定做一个基准测试,基准测试意味着当时人们会给我们一个他们已经设计好的电路,看看我们是否可以改进它。我们把电路放进去,实际上只用了几分钟,我们就得到了尺寸缩小了 30%、速度加快了 30% 的东西。当然,第一反应是:‘这是错误的。不可能。我已经在这条赛道上辛苦工作了三周或三个月。这不可能是正确的。好吧,我们回家看看。”大约两三周后,他们回来说这是对的。 '我们检查了一下。这确实有效。”
此类演示牢固地确立了 Synopsys 作为 EDA 巨头的地位。与 Cadence 的 Costello 一样,de Geus 随后开始创建一个 EDA 帝国。
3.
Mentor Graphics
当 Wally Rhines 于 1993 年成为 CAE 供应商 Mentor Graphics 的首席执行官时,他并没有试图建立一个 EDA 帝国。他正忙着阻止Mentor破产。正如前文所说,Mentor 通过预先发布Version 8.0引发了奥斯本效应,但发布的时间又晚又慢。 Mentor 的收入增长趋于稳定,随后销售额在 1991、1992 和 1993 年下降。正如Rhines在他的著作《From Wild West to Modern Life,》中所描述的那样,几件偶然的事情使 Mentor 免于被遗忘:
“Mentor 对Version 8.0的押注使其从 EDA 领域的第一名上跌到第三名。大多数软件公司永远无法从这种衰退中恢复过来。然而,我带着乐观的态度来到 Mentor。毕竟,大多数产品迭代失败的公司可以迅速转向现有的其他创新,并重新产生动力。然而,货架上没有太多可供构建的东西,公司中几乎每个人都被转移到 Falcon [Version 8.0] 项目以试图挽救它。
“通过一些痛苦的裁员和Version 8.0支出的减少,我们能够阻止现金流失,这使我们能够找到可以成为事实上标准的领域。架子上并不是完全空荡荡的。例如,尽管 Falcon 8.0 版本过渡遇到困难,Mentor 的系统设计业务仍然取得了成功。当时负责 PCB 业务的 Russ Henke 并不相信Version 8.0能够发挥作用。因此,他走上了许多公司常见的一条悄悄不合规的道路。他指示他的 PCB 团队开发一个与 Version 8.0接口的“Version 8.0”,以防万一它起作用,然后继续投资传统的 PCB 设计业务,在整个 Version 8.0混乱时期和进入 1990 年代,PCB 收入持续增长。
“在宣布Version 7.0不会扩展,但只要该环境可用时就会被 Version 8.0取代后,Mentor 销售人员几乎没有什么可卖的。创新的销售团队与“增值服务”团队合作,为不受Version 8.0过渡影响的现有产品寻找新用户。 PCB 原理图捕获(schematic capture)就是其中之一。他们[增值服务集团](the Value Added Services group)在波特兰找到了当地客户 Freightliner,该公司制造卡车,现在归戴姆勒所有。
“说服他们从手动布线设计转向 EDA 并不容易,但他们成为了名为“LCable”的“field-developed”产品的第一批采用者,该名称反映了其在布线设计和验证中的使用以及卡车和汽车的线束。其他汽车和航空航天公司的采用进展缓慢,但从 1992 年开始的十年里,汽车和航空航天电子的复杂性大大增加,以至于对 EDA 的需求变得显而易见。”
Rhines 在德州仪器 (TI) 工作时是 Mentor 的客户之一,他知道 Mentor 拥有领先的仿真技术。不幸的是,该技术已被出售给 Quickturn Design Systems。 Rhines 还知道 Mentor 已经签署了 TI 物理验证软件的 OEM 许可协议,并将其作为“Checkmate”工具出售,因此这似乎是该公司的一个可能的优势。然而,TI 拒绝延长 Checkmate 许可证,因此 Mentor 购买了该软件的权利,重写了该软件,并以“Calibre”的名称进行销售。新工具箱中又多了一项工具。 Mentor 能够让主要的 IC 代工厂(TSMC、UMC 和 GlobalFoundries)采用 Calibre。
Rhines写道:“随着事情的进展,Mentor 拥有了许多强大的、甚至是一流的工具:Calibre 物理验证、Tessent 测试设计、Expedition PCB 设计、Calypto/Catapult 高级综合、汽车嵌入式电子产品以及其他八个工具,按照官方 Gary Smith EDA 分析中提供的指标。幸运的是,Synopsys 最终决定他们不必做所有事情;他们只需要做一些事情即可。他们可以追求 Mentor 没有追求的新领域。这使得多元化水平在 EDA 行业中并不常见。”
在努力扭转局面的过程中,Rhines 成功创建了 Mentor 的 EDA 帝国。舞台已经搭建好了。 EDA版本的《权力的游戏》即将开始。
收购时代
EDA 收购时代紧随 EDA 时代到来。
SDA于1987年与ECAD合并成立Cadence,新公司的第一次收购是在1989年。迄今为止,该公司已进行了近40次收购;Synopsys 成立于 1986 年,首次收购发生在 1990 年。迄今为止,该公司已进行了 100 多次收购;Mentor Graphics 在 1993 年左右从一家垂死的 CAE 公司转型为一家充满活力的 EDA 公司,其转型后的第一次收购是在 1997 年。该公司在 2017 年被西门子收购之前至少进行了 15 次收购。
这三家领先的 EDA 公司都参加了这场收购竞赛,试图在 EDA 领域超越其他两家竞争对手。
这三大EDA公司的收购行为体现了一个微观的EDA行业,该行业产生了一些以被收购为主要目的的EDA初创公司。已故的 Jim Hogan 于 30 多年前在 Cadence 开始了他的 EDA 之旅。他既是一名顾问,其建议受到 EDA 社区的广泛征求,又是 EDA 初创公司的开发商,准备被三大 EDA 公司之一收购,首先是通过 Cadence 的 Telos Venture Partners,后来是通过他自己的 Vista Ventures。该公司由他与他的朋友Scott Becker共同建立,Hogan会找到一些有想法的聪明的创业工程师,为他们提供资金或获得资金,然后在稍后的某个时间通过为公司寻找买家来收获他的投资。我有幸成为Jim Hogan的众多朋友之一,他也于 2021 年去世。
以下是三大 EDA 公司的收购清单,按日期、被收购公司名称和购买技术列出。这些列表并不详尽,但显示了这些 EDA 初创公司提供的工具和技术的多样性。这份清单令人难以置信。
Cadence的收购
  • 2024 Invecas Inc –Design engineering, embedded software, and system-level solutions provider

  • 2023 Intrinsix Corporation –Semiconductor design services provider
  • 2023 Rambus –SerDes and memory interface PHY IP
  • 2022 OpenEye Scientific –Computational molecular modeling and simulation software
  • 2022 Future Facilities –Computational fluid dynamics (CFD) for electronics cooling and energy performance optimization
  • 2021 Pointwise – CFD mesh generation
  • 2021 NUMECA – CFD, mesh generation, multi-physics simulation
  • 2020 InspectAR Augmented Interfaces – Augmented reality design software
  • 2020 Integrand Software – Analysis and extraction software for large ICs and 3D packages
  • 2019 AWR Corporation – Wireless RF application design software
  • 2017 Nusemi – High-speed serializer/deserializer (SerDes) communications IP
  • 2016 Rocketick Technologies – Multi-core parallel simulator
  • 2014 Jasper Design Automation – Formal analysis and verification
  • 2014 Forte Design Systems – High-level synthesis (HLS)
  • 2013 Evatronix – Semiconductor IP
  • 2013 Tensilica – Configurable processor IP, dataplane processing IP
  • 2013 Cosmic Circuits – Analog and mixed-signal IP for mobile devices
  • 2012 Sigrity – Signal, power, and thermal integrity analysis, IC package design
  • 2011 Azuro – Clock concurrent optimization
  • 2011 Altos Design Automation – Foundational IP characterization, standard-cell libraries
  • 2010 Denali Software – Memory models, design IP, verification IP
  • 2008 Chip Estimate – IP portal, IP reuse management
  • 2007 Clear Shape – Design for manufacturing (DFM)
  • 2007 Invarium – Lithography modeling and pattern synthesis
  • 2006 Praesagus – Manufacturing variation prediction
  • 2005 Verisity – Verification automation, hardware acceleration
  • 2004 Neolinear – Analog and mixed-signal IC layout, circuit sizing
  • 2003 Verplex – Formal verification, equivalence checkers
  • 2003 K2 Technologies – Mask Layout Preparation
  • 2003 Celestry Design – Dense modeling, full-chip circuit simulation
  • 2002 IBM’s DFT tools & group – Design-for-test (DFT) software
  • 1999 OrCAD Systems – PCB and FPGA design
  • 1998 Quickturn Design Systems – Emulation hardware
  • 1998 Bell Labs Design Automation – Simulation and verification software
  • 1997 Cooper & Chyan Technology + UniCAD – Placement and routing software, PCB Design
  • 1993 Comdisco Systems – Digital signal processing and communications design
  • 1991 Valid Logic – Gate-level design software
  • 1990 Automated Systems, Inc – PCB Design Automation
  • 1989 Gateway Design Automation – Simulation software
Synopsys的收购
Synopsys 收购的公司比 Cadence 或 Mentor 还要多。 该公司对其收购进行了分类,并认为其中一些收购具有战略性。 战略收购在下面以斜体字显示。
1、Software Security & Quality
  • 2022 WhiteHat Security – Dynamic application security testing (DAST)
  • 2021 Code Dx – Application software security risk management
  • 2020 Tinfoil Security – DAST and application programming interface (API) testing
  • 2017 Black Duck Software – Solutions for securing and managing open-source software
  • 2017 Forcheck – Static code analysis
  • 2016 Codiscope – Code security tools
  • 2016 Cigital – Security managed and professional services software
  • 2015 Goanna Software – Static source code analysis tools
  • 2015 Protecode – Open-source software (OSS) license and security management
  • 2015 Seeker – Software security and interactive application security testing (IAST)
  • 2015 Codenomicon – Software security
  • 2014 Kalistick – Cloud-based software QA
  • 2014 Coverity – Quality, testing and security tools
2、Verification and Prototyping
  • 2023 Imperas – Processor simulation models and modeling
  • 2023 PikeTec – Automotive software testing and verification
  • 2020 Terrain Technologies – SystemVerilog for IC design and verification
  • 2019 DINI Group – FPGA-based boards for emulation and product development
  • 2019 QTronic GmbH – Simulation, test tools, and services for automotive software
  • 2016 WinterLogic – Fault simulation
  • 2015 Atrenta – Static and formal verification
  • 2012 SpringSoft – IC design software
  • 2012 EVE – FPGA-based emulation platforms
  • 2012 ExpertIO – Verification IP (VIP)
  • 2011 nSys – VIP
  • 2010 ZeroSoft – Logic Verification
  • 2010 VaST Systems – Virtual prototyping
  • 2010 Nusym – Functional verification
  • 2010 CoWare – Electronic systems design
  • 2008 Synplicity – FPGA and IC design, rapid prototyping
  • 2008 CHIPit – End-to-end verification
  • 2007 ArchPro – Power management for IC design
  • 2006 Virtio – Virtual prototyping
  • 2003 Qualis VIP – Verification IP
  • 2002 Co-Design Automation – SOC verification
  • 2001 C Level Design – Simulation accelerator technology
  • 2000 Leda SA – AMS IP
  • 2000 VirSim – HDL debugger and analyzer
  • 1999 Covermeter – Verilog code coverage tool
  • 1999 Apteq – Verilog analog simulation tools
  • 1998 Systems Science – Simulation and test tools
  • 1998 Radiant Design Tools – Simulation optimization tools
  • 1997 Viewlogic – IC design and simulation tools
  • 1995 Arkos – Logic emulation
  • 1994 Logic Modeling – Software models and hardware modelling systems
  • 1994 CADIS – DSP design tools
  • 1994 Arcad – VHDL models for telecommunications
  • 1993 ExperTest – Fault simulation
  • 1990 Zycad – Gate-level simulation
3、Silicon IP
  • 2020 INVECAS IP – Logic, embedded memory, general I/O, analog, and interface IP
  • 2019 eSilicon IP – Memory IP
  • 2018 Silicon and Beyond – High-speed SerDes IP
  • 2018 Kilopass Technology – Non-volatile memory IP
  • 2017 Sidense Corporation – Non-volatile memory IP
  • 2015 Bluetooth Smart IP from Silicon Vision – Wireless IP
  • 2015 Elliptic – Security IP
  • 2014 Target Compiler – Processor IP and tools
  • 2012 SerDes IP from MoSys – SerDes IP
  • 2012 Inventure – Interface IP
  • 2010 Virage Logic – Interface and analog IP
  • 2009 MIPS Analog – Analog IP
  • 2007 MOSAID SIP – DDR memory and memory PHY IP
  • 2005 TriCN – I/O and SerDes IP
  • 2004 LEDA Design – Digital and mixed-signal IP
  • 2004 Cascade – PCIe IP
  • 2004 Accelerant – High-speed SerDes IP
  • 2004 Progressant – Low-power transistor IP
  • 2002 inSilicon – USB IP
  • 1995 Silicon Architects – Structured ASIC design IP
  • 1993 Compiled Designs – VHDL modeling and simulation tools
4、Silicon Engineering
  • 2021 IC and Flat Panel Display Solutions from BISTel – Yield management/prediction software
  • 2020 Light Tec – Optical scattering measurements and measurement equipment
  • 2018 PhoeniX Software – Photonic IC design automation
  • 2017 Quantumwise – Materials modeling for 5nm processes and below
  • 2016 Gold Standard Simulations – TCAD and EDA simulation software
  • 2016 Simpleware – 3D scan conversion software
  • 2014 Brandenburg Gmbh – Optics design, optimization, and simulation software
  • 2012 RSoft Design Group – Photonics design and simulation software
  • 2012 Mask Synthesis from Luminescent Technologies – Inverse lithography technology for photomask generation
  • 2010 Optical Research Associates – Optical design software
  • 2006 SIGMA-C – Optical, e-beam, and next- generation lithography (NGL) simulation software
  • 2005 HPL Technologies – Design-to-silicon design flow
  • 2004 ISE – DFM software
  • 2003 Numerical Technologies – Mask data preparation software
5、Chip Design
  • 2023 Maxeda – Intelligent floor-planning software
  • 2023 Silicon Frontline – Post-layout verification software
  • 2022 FishTail Design Automation – RTL-to-signoff constraints generation and verification
  • 2021 Concertio – AI-powered performance optimization software
  • 2020 Moortec – Process, voltage, and temperature (PVT) sensors for on-chip monitoring
  • 2020 Dorado DA – Engineering change order (ECO) software
  • 2020 Qualtera – Big data analytics for semiconductor test and manufacturing
  • 2012 Ciranova – Transistor-level layout for advanced process nodes
  • 2012 Magma – IC design software
  • 2011 Extreme DA – Performance, power consumption and manufacturing yield improvement
  • 2010 Synfora – C/C++ high-level synthesis tools
  • 2009 TeraRoute – Gridless, shape-based, autorouter for sub-100-nanometer IC designs
  • 2009 Gemini – Complex analog and mixed-signal (AMS) verification
  • 2007 Sandwork Design – AMS verification tools
  • 2005 Nassda – Full-chip circuit verification software
  • 2004 Monterey Design – RTL-to-GDSII and virtual prototyping tools
  • 2004 iRoC SA – Memory built-in-self-test (BIST) technology
  • 2004 Analog Design Automation – Automated AMS circuit optimization
  • 2003 InnoLogic Systems – Memory and full-custom equivalence checking technology
  • 2002 Avant! – Advanced physical IC design
  • 2000 The Silicon Group – IC design services
  • 1999 Gambit – Gate-array layout system
  • 1999 Stanza – Deep submicron IC design tools
  • 1998 Everest Design Automation – Shape-based, top-level routing technology
  • 1997 EPIC Design Technology – Timing, power, and reliability simulation and analysis tools
  • 1997 Advanced Test Technology – Automatic test pattern generation (ATPG)
值得强调的是,Synopsys 最近还宣布收购 Ansys,这是一家设计自动化公司,专注于流体动力学和多物理场仿真工具,其行业范围远超 EDA。对于 EDA 应用,Ansys 提供 RedHawk-SC,这是一款深受 IC 设计人员欢迎的电源完整性工具。Ansys 在 2011 年收购 Apache Design Solutions 时将 RedHawk 添加到其软件产品组合中。
Mentor Graphics Acquisitions
  • 2015 Calypto Design Systems – HLS tools
  • 2015 Tanner EDA – AMS and MEMS integrated circuits
  • 2014 Berkeley Design Automation – AMS circuit verification
  • 2014 Nimbic – Electromagnetic simulation software
  • 2010 CodeSourcery – GNU-based software development tools
  • 2010 Valor Computerized Systems – PCB systems manufacturing
  • 2009 LogicVision – Testing for IC manufacturing
  • 2008 Flomerics – CFD
  • 2007 Sierra Design Automation – Place and route (P&R) software
  • 2004 Project Technology – Executable UML
  • 2002 IKOS Systems – Hardware emulation
  • 2002 Innoveda – PCB and wire harness design
  • 2002 Accelerated Technology – RTOS and embedded software development
  • 1999 VeriBest – PCB design
  • 1995 Microtec Research – Real-time operating system (RTOS), software development
  • 1995 Exemplar Logic – Logic synthesis
  • 1994 Model Technology – VHDL simulation
  • 1994 Anacad Electrical Engineering Software – Analog and mixed-signal design
  • 1993 CheckLogic Systems – Automatic test pattern generation
  • 1990 Silicon Compiler Systems – Logic synthesis, IC design tools
  • 1990 Context Corp – Documentation systems
  • 1989 Descartes Automation Systems – Place and route tools
  • 1989 Performance CAD – Timing analysis
  • 1989 Trimeter Technologies’ assets – Logic synthesis
  • 1988 Tektronix CAE and CASE Divisions
  • 1988 Contour Design Systems – Analog IP libraries
  • 1984 Synergy DataWorks – Hardware acceleration
  • 1983 California Design Automation – PCB design
在编制这些收购清单时,我对人们为我们今天使用的 EDA 工具所付出的巨大集体努力感到震惊。 它停顿了一下。 对于上面列出的一些收购,为被收购公司工作的工程师在三大 EDA 公司之一找到了新职位。 其他收购都是技术收购,这意味着被收购公司的员工走上街头寻找新的就业机会。 因此,上面的列表准确地描绘了 EDA 行业动荡、动态的本质,类似于流行小说和电视剧《权力的游戏》,但血腥程度要少一些。
IP时代
用于设计 VLSI IC 的 Mead-Conway 方法推动了三大主要 CAE 公司(Daisy、Mentor Graphics 和 Valid)的发展,而这又导致了后来三大主要 EDA 公司(Cadence、Synopsys 和 Mentor Graphics)的发展。如上所述,这三个 EDA 公司的出现之后立即进入了一个漫长而持续的 EDA 收购时代。
Mead-Conway 设计方法对标准单元使用的依赖也引发了 20 世纪 90 年代开始的设计 IP 的出现。 Lynn Conway 对 Mead-Conway 设计方法的众多贡献之一就是使用标准单元(standard cells)作为 IC 设计的基本构建块的概念。标准单元的使用始于 Jim Rowson 为 Xerox PARC 开发的 ICARUS(Integrated Circuit ARtwork Utility System)设计系统,但这个想法很快在整个半导体行业传播。
标准单元是比单个晶体管更大的物理设计。它们通常实现相当于多个逻辑门的功能。最初,每个半导体器件制造商和代工厂都有一套专有的标准单元。这些单元的设计及其相关的设计规则被视为商业秘密,因此设计在晶圆厂之间不可互换。
具有三个金属层的小型标准单元的渲染图(电介质已被移除)。沙色结构是金属互连,淡红色结构是多晶硅栅极,底部的彩色块是体晶硅。
Doug Fairbairn 于 20 世纪 70 年代后期在 Xerox PARC 为 Lynn Conway 工作,他意识到 Mead-Conway 设计方法及其对半导体行业的连锁反应需要时事通讯或杂志来传播新闻和围绕半导体行业原创概念的核心思想。他成功说服施乐帕洛阿尔托研究中心系统科学实验室经理伯特·萨瑟兰 (Bert Sutherland) 资助出版一本名为《Lambda》的杂志。Fairbairn 将第一期放在一起。根据 Carver Mead 的建议,Fairbairn 采访了 VLSI Technology,这是一家位于加利福尼亚州洛斯加托斯的代工厂初创公司,该公司正在开发基于 Mead-Conway 方法的 ASIC 设计流程。那次采访成为该杂志第一期的封面故事。 Fairbairn 在 11 月份就这篇文章采访了该公司,并于次年 1 月份加入了该公司,当时恰逢 Lambda 杂志第一期付印。
VLSI Technology 正在建设自己的晶圆厂为客户生产芯片,但 CAE 行业尚未真正跟上步伐,因此 VLSI Technology 需要基于 Mead-Conway 设计方法开发自己的设计工具。费Fairbairn 在他的口述历史中解释道:
“我们称之为用户设计的 VLSI。到了 83 年,又出现了一个术语。这是 ASIC。 ASIC 一词于 83 年发明。因此,我们是这些 [ASIC] 公司之一。这些公司被认为是...... ASIC 公司,也被认为是未来的公司。每个人都想加入。每个人都跳入市场。我的意思是英特尔甚至跃入了这个市场,还有富士通、LSI Logic 等等。 …与此同时,我们已经开始开发一整套设计工具,因为唯一的工具就是 Calma。而且你无法在 Calma 系统上出售 VLSI 设计故事。因此,我们必须开发自己的 CAD 工具,因为这是我们推销这个故事的唯一方式。”
Fairbairn 聘请了在 Xerox PARC 开发 ICARUS 设计系统的 Jim Rowson 来领导 VLSI Technology 的 CAD 团队。然后事情开始发展,正如费尔贝恩解释的那样:
“随着时间的推移,我们建立了定制业务——我们所做的事情被称为——ASIC 业务,被称为cell-based的业务——Cell libraries。 LSI [Logic] 正在推动门阵列业务。因此,我们相互竞争市场份额。我们会尝试向人们推销cell-based的方法。他们 [LSI Logic] 会尝试向人们推销门阵列方法。他们有更好的中短期策略,因为你可以加强设计。你可以——他们不需要太多改变他们的方法就可以让人们设计门阵列。我们的方法论发生了巨大的变化。训练大事,风险大(Big training thing. Big risk)。他们可以让人们更快地设计门阵列,并更快地转变它们,因为进入成本更低,更容易销售。
“因此,他们的 ASIC 业务收入增长速度比我们更快。几年后我们意识到,我们确实需要两者兼而有之。因此,我们进入了门阵列(gate-array)业务。他们意识到他们需要两者兼而有之。因此,他们进入了cell-based的业务。所以,我们最终都在同一个 ASIC 业务中互相争斗。
“事实证明,有价值的是盈利——拥有知识产权。拥有构建模块和知识产权。在纯 ASIC 业务中,你不拥有任何知识产权。”
到 20 世纪 80 年代后期,VLSI Technology 决定将这些设计工具及其标准单元库分拆出来,成立一家名为 Compass Design Automation 的公司。 Paul McLellan 成为这家新 EDA 公司的首席执行官,并继承了 VLSI Technology 的标准单元库,McLellan在 Cadence博客中写道:
“当 VLSI Technology 将 Compass Design Automation 分拆为独立公司时,除了所有 EDA 软件之外,Compass 还继承了我们当时所说的‘library business’。我们不仅为 VLSI 本身创建了标准单元库(以及一些门阵列库),还为其他几家公司(主要在亚洲和欧洲)创建了标准单元库(和一些门阵列库)。我们还创建了 SRAM 编译器。不久之后,Artisan Components 创建了,因此我们得到了竞争的验证,这是一个真正的市场。 Artisan 最终被 Arm 收购,并转变为如今 Arm 的物理 IP 业务。”
最终,标准单元变得越来越大,直到它们包含大型 LSI 模块,例如 UART。然而,真正的IP突破是微处理器IP的开发,从Arm开始。McLellan写道:
“最初,VLSI [技术] 很难让客户在他们的设计中使用 Arm 处理器(这样我们就可以制造芯片,因为最初我们是唯一的被许可方)。任何人都会从制造微处理器的实际半导体公司以外的其他人那里获得微处理器许可的想法已经太陌生了。因此,半导体世界分为拥有自己的微处理器的半导体公司(日立、摩托罗拉、NEC、英飞凌、恩智浦等)和其他公司。随着芯片变得越来越大,ASIC 胶合逻辑让位于真正的片上系统 (SoC),而这些系统总是涉及微处理器。 “其他所有人”类别中的公司都获得了 Arm 许可,以此作为获得 Arm 的一种方式。”
Arm 微处理器 IP 在 ASIC 业务中的成功为其他公司推出其他微处理器 IP 架构打开了大门。微处理器制造商 MIPS 是最早这样做的公司之一,该公司成立于 1984 年,旨在将斯坦福大学开发的 MIPS RISC 处理器架构商业化。 Silicon Graphics (SGI) 将其工作站基于 MIPS 处理器,并最终收购了 MIPS,后者随后专注于高端工作站级微处理器。在微处理器芯片业务十多年之后,人们对 MIPS 处理器的兴趣逐渐减弱,SGI 在 1998 年将 MIPS 重新剥离,成为一家 IP 许可公司。
2013 年,Imagination Technology 收购了 MIPS,并继续提供 MIPS 处理器核心 IP。然而,RISC-V 处理器架构已经超越了 MIPS 内核(以及许多其他微处理器架构)。 Imagination 于 2017 年出售了 MIPS。在经历了一系列财务灾难后,MIPS 在 2021 年以独立公司的身份重新出现。现在,MIPS 和 Imagination 都提供 RISC-V 处理器内核,并且 RISC-V 领域还有十多个竞争对手。
另外还有两家公司涉足可配置内核的处理器 IP 业务:ARC International 和 Tensilica。可配置微处理器内核背后的想法是,定制的内核可以更好地执行特定于应用程序的代码,那么为什么不构建工具来允许任何人开发自己的微处理器内核呢?
这个问题的答案是——很少有 ASIC 设计人员想成为微处理器设计人员。他们更愿意从目录中选择一个标准化的核心,将其添加到他们的设计中,然后就完成了。因此,ARC 和 Tensilica 的增长速度都没有 Arm 快。 Virage Logic 于 2009 年收购了 ARC,随后 Synopsys 在 2010 年收购了 Virage,当时 ARC 处理器 IP 成为 Synopsys DesignWare IP 系列的一部分,Cadence 于 2013 年收购了 Tensilica。
McLellan 在他的博客中很好地总结了半导体 IP 的现状:
“我预计未来会看到更多的系统级 IP。许多IP只有与使其工作所需的接口、无线电和软件捆绑在一起时才有用。这些都需要设计为能够干净地协同工作,以便在该领域(无论是汽车、数据中心、5G 基站还是其他领域)实施一个系统,然后所有构建块都可用并进行整体设计。”
IP 现在是 EDA 行业的重要组成部分。事实上,作为一个类别,它现在是 EDA 行业最大的部分。 Synopsys 和 Cadence 对 ARC 和 Tensilica 的收购凸显了 IP 时代与 EDA 行业收购时代的混合。许多其他 IP 提供商如雨后春笋般涌现,提供 DSP、新型非易失性存储器技术以及几乎所有其他可能组合到芯片中的技术。您会在前文的 EDA 收购列表中找到许多 IP 公司。提供优质知识产权(有时即使没有)的初创公司被抢购并添加到大型 EDA 公司的 IP 库中,这是 EDA 行业持续竞争的另一个维度。
EDA的60层蛋糕
在前文中,我们描述了 EDA 行业从最早的发展到成为价值数十亿美元的半导体行业核心的历史。从各系统公司为其研发部门开发电路板和 IC 开发的一次性工具开始,商业 EDA 行业被设计自动化大会的火花点燃。然后,从 CAD 公司、CAE 公司和 EDA 公司开始,它经历了各个阶段。
不断发展的 EDA 公司通过收购而成长,当只剩下很少的小型 EDA 初创公司可以吞并时,三大 EDA 玩家(Cadence、Mentor Graphics 和 Synopsys)进入了 IP 业务,并开始收购该领域的公司。
由于这种演变和收购,EDA 设计流程现在变得非常复杂,以至于电子系统设计联盟 (ESDA) 认可了 IC 和 PCB 设计流程中使用的 60 多种不同类型的工具和 IP。我将这些不同类型的工具和 IP 的总和称为 EDA 层蛋糕。
本文讨论 ESDA 定义的这些层。请注意,使用工艺节点制作的不同类型 IC 的不同设计流程包含不同的层集,但总层数为设计团队提供了令人印象深刻的工具堆栈。
Silvaco 首席技术官兼半导体创业孵化器 Silicon Catalyst 合作伙伴 Raúl Camposano在为斯坦福大学 EE380 课程举办的题为““Electronic Design Automation and the Resurgence of Chip Design”的 YouTube 视频研讨会中总结了 ESDA 的 EDA 工具的五个类别:
1
EDA Services, which provide training and consulting for companies that need help with the EDA layer cake.
2
Computer Aided Engineering (CAE) tools, defined as IC design tools working at the transistor level and above. This category includes gate- and system-level design tools.
3
Printed circuit board (PCB) and multi-chip module (MCM) design tools, which get little attention in the IC-centric EDA world today. However, these tools remain essential for system design and all the major EDA vendors provide PCB design tools.
4
IC Design and Verification tools for the physical design of ICs. These tools generate the IC layouts and layer masks needed for fabrication.
5
Intellectual Property (IP), a segment that did not exist 30 years ago but is now the largest of the five categories according to Camposano. IP blocks include processors, memory, and I/O (USB, Ethernet, WiFi, etc). Currently, noted Camposano, Arm is the most successful IP company, thanks to the cellular phone industry’s nearly universal adoption of Arm processors.
虽然这五组对于分类很有用,但它们掩盖了 ESDA 的 60 层蛋糕(已成为 EDA)的复杂性,因此这里有一个更详细且稍微折叠的分项,其中包含 ESDA 描述的一些非常缩写的版本:
1. Services
1.1 Consulting services for modified or completed electronic designs, including semiconductor or semiconductor intellectual property (SIP) products, PCBs, modules, systems, libraries, verification, or retargeting.
1.2 Custom development for tools, design environments, product data management (PDM), and component information systems (CIS) services for customization of design tools and design environments.
1.3 Training services for design, design methodology, design languages, and use of EDA tools.
1.4 Other services.
2. CAE Tools
2.1 Electronic system level (ESL) design, synthesis, and verification tools for modeling, simulation, creation, or functional and performance analysis of system-level designs.
2.1.1 ESL design tools used to model, develop, debug, analyze, simulate, and visualize the functional behavior of a design (or parts of a design) modeled at high abstraction levels.
2.1.2 ESL synthesis tools that transform high-level descriptions written in C/C++, SystemC, or similar high-level input design abstractions into RTL descriptions.
2.1.3 ESL verification and ESL virtual prototyping tools for simulating and verifying the functionality and performance of system-level designs.
2.2 Design-entry tools for creating designs using hardware description languages (HDLs) including Verilog and VHDL, Boolean equations, high-level graphical methods, schematic editors, or some combination of these methods.
2.3 Logic Verification
2.3.1 RTL simulation tools including simulators and integrated simulation tool suites used to verify logic designs using one or more RTL languages (VHDL, Verilog, SystemVerilog, etc).
2.3.2 Dynamic verification and auxiliary RTL simulation tools that assist RTL simulators with verification.
2.3.3 Hardware-assisted verification tools including hardware emulators and accelerators.
2.3.4 Other logic verification and simulation tools (Including gate-level simulators).
2.4 Analog and mixed-signal (AMS) simulators
2.4.1 AMS simulators for simulating analog or mixed-signal designs.
2.4.2 Library characterization tools for characterizing standard cells, I/O cells, cell macros, and memory IP.
2.4.3 RF simulators that simulate circuit- and system-level RF/high-frequency/ microwave designs.
2.4.4 EM solvers including planar and 3D EM solvers that model and analyze the electrical characteristics of physical geometries and structures.
2.5 Formal Verification
2.5.1 Equivalency checkers that use formal techniques to verify the functional equivalence of a design as it is transformed from one design stage to the next, including RTL-to-RTL, RTL-to-gate, gate-to-gate, etc.
2.5.2 Property checkers that use formal analysis techniques to verify design properties such as assertions, assumptions, constraints, etc.
2.6 Analysis Tools
2.6.1 IC/ASIC static-timing and signal-integrity analysis tools that calculate delays and detect timing violations in a digital design. Also includes software tools that analyze electrical signal behavior in IC wiring networks including crosstalk and substrate noise analysis.
2.6.2 IC/ASIC power analysis and optimization tools that analyze, optimize, or diagnose power consumption and IR drop problems.
2.6.3 IC/ASIC transistor-level simulation and analysis tools that accept a SPICE netlist and perform timing or power analysis for designs with one million or more transistors.
2.6.4 Analog and high-frequency IC/ASIC analysis tools that analyze the results of AMS and RF simulators.
2.6.5 Other IC/ASIC-related analysis tools that analyze electrical, thermal, EMC, power, and timing related to IC wiring networks.
2.7 Design-for-Test and Test Automation Tools
2.7.1 Automatic test pattern generation (ATPG) tools for full-scan, partial-scan, and non-scan designs.
2.7.2 Built-in self-test (BIST) tools that insert circuitry or IP blocks to perform BIST functions for memories, random logic, mixed-signal circuits, etc.
2.7.3 Scan tools that insert internal scan circuitry to support ATPG, BIST, or IEEE 1149 boundary-scan circuitry.
2.7.4 Fault simulation and other test tools that simulate and grade fault patterns.
2.8 Synthesis tools that convert high-level electronic design descriptions to lower abstraction levels such as gate-level designs.
2.9 Other CAE hardware and software.
3. PCB & MCM Layout Tools
3.1 PCB schematic entry tools including schematic editors and schematic-capture tools.
3.2 PCB analysis tools that analyze electrical, thermal, EMC, power, and timing related to PCB wiring networks and related designs.
3.3 IC package analysis tools that analyze electrical, thermal, EMC, power, and timing related to wiring networks in IC Packages, multi-chip packages, or MCMs.
3.4 Other system interconnect analysis tools that analyze electrical, thermal, EMC, power, and timing related to wiring networks in cables, cable harnesses, connectors, sockets, and optics.
3.5 PCB computer-aided manufacturing (CAM) tools that produce manufacturing-ready board layouts including multi-board panelization.
3.6 PCB physical design tools including rule checking and photoplot output.
3.7 IC package physical design tools for placing physical components and/or routing interconnect signal traces on IC packages or multi-chip package assemblies.
3.8 Other physical design tools for non-PCB or IC package designs including cables, harnesses, connectors, sockets, and optics.
3.9 Library and design data management tools for designing physical interconnect systems and design libraries.
3.10 Other PCB and MCM hardware and software.
4. IC Physical Design & Verification Tools
4.1 Physical implementation tools for placement and routing of IC circuits including tools for designing gate arrays, embedded arrays, standard cells, and irregularly sized macro- or mega-cell blocks.
4.2 IC full custom layout tools for hand-crafted full-custom ICs that do not employ standard-cell design methodologies. These tools include polygon editors, symbolic editors, and compactors.
4.3 IC layout verification tools to ensure that an IC design does not violate any fabrication process rules. This category includes electrical rule checkers (ERC) and layout-versus-schematic (LVS) checkers.
4.4 Parasitic extraction tools that translate IC layout data into networks of electrical circuit elements (transistors, resistors, and capacitors) and parasitic elements (interconnect capacitance, resistance, and inductance) to enable the modeling of the IC design’s timing, power, and signal behavior.
4.5 Reticle enhancement technology (RET) tools including optical proximity correction (OPC) and phase-shift mask (PSM) tools that modify an IC design for a specific manufacturing process node.
4.6 Technology CAD (TCAD) tools that simulate, analyze, and optimize device and process parameters during semiconductor process research and development.
4.7 Mask data preparation tools that modify full-chip production designs by transforming the physical design’s layout into the various modified mask layers required for IC manufacturing.
4.8 IC yield enhancement tools that modify a physical layout to avoid manufacturing process vulnerabilities and improve chip yield.
4.9 Other IC/ASIC and FPGA physical design and verification tools.
5. Semiconductor Intellectual Property (SIP)
5.1 Tools used in the generation, creation, packaging, and management of SIP.
5.2 Macrocells and Cores
5.2.1 Logic libraries and standard cells, which are the building blocks and elements used to assemble or compile a cell-based IC design.
5.2.2 Memory IP including static, dynamic, and non-volatile memory.
5.2.3 Analog and mixed-signal blocks including ADCs, DACs, comparators, amplifiers, detectors, pulse compressors, signal sources, switches, PLLs, VCOs, voltage references and regulators, pulse-width modulators, filters, couplers, mixers, and analog multipliers or dividers.
5.2.4 Interface and peripheral blocks (in software or RTL form) that conform to recognized I/O standards including PCIe, USB, Ethernet, Bluetooth, WiFi, and DDR SDRAM.
5.2.5 CODEC and cryptographic blocks including encoders, decoders, modulators, and demodulators.
5.2.6 Graphics, imaging, and audio blocks.
5.2.7 Processor IP including general-purpose microprocessors, configurable microprocessors, and dataplane processors.
5.2.8 Subsystem IP composed of more than one IP block to form a subsystem or platform.
5.2.9 Test functions for debug and self-test.
5.2.10 DSP functions.
5.2.11 Other macrocells and cores.
5.3 Verification IP including models, monitors, test suites, testbenches, assertions, and checkers.
5.4 Embedded software including real-time operating systems, software stacks and drivers, and applications software.
ESDA 的 ”EDA 蛋糕“反映了需要对主要 EDA 参与者和无数 EDA 和 IP 初创公司超过四十年的工具和 IP 开发成果进行整理。它是为 IC 独立设备制造商 (IDM)、无晶圆厂 IC 公司、半导体代工厂和系统公司提供的深入而复杂的产品和服务组合。
然而,即使经过 40 多年的增长,整个 EDA 市场目前每年价值约 140 亿美元,并且目前的增长速度与整个半导体行业相同。因此,主要的 EDA 厂商目前正在寻找突破电子市场并进入更大领域的方法,以支持他们在前几年享有的增长率。
Big DA时代
在过去二十年左右的时间里,EDA 行业的增长速度与整个半导体行业相同。Mentor Graphics 首席执行官 Wally Rhines 在IEEE 设计与测试杂志2017 年 1 月/2 月版中向 Magdy Abadir 解释了这一情况:
“EDA收入非常稳定,占半导体收入的2%,如果半导体行业不增长,EDA行业中与IC设计相关的部分就很难增长。从历史上看,EDA 的增长速度比半导体行业快得多,因为并不是每个人都采用了自动化,因此我们仍然在为每个人提供越来越多的软件。然后我们达到了每个人的设计流程 100% 自动化的程度,一切就解决了。近 20 年后,我们现在的增长速度与半导体行业大致相同。”
Arteris 解决方案和业务开发副总裁 Frank Schirrmeister 在半导体工程网站上发表的题为“Chasing The Next Level Of Productivity”的文章中对同一情况提供了不同的视角。 Schirrmeister 使用 Handel Jones 2014 年、2018 年和 2022 年的 IBS 报告绘制了从 2000 年开始的半导体设计图,并使用 IBS 预测将该图延伸至 2030 年。绘制的数据显示,设计开始从 8000 多一点增长到 11000 多一点。这三个十年的历程。
我在下图中改编了 Schirrmeister 的数据。
Frank Schirrmeister 使用 Handel Jones 2014 年、2018 年和 2022 年的 IBS 报告绘制了从 2000 年到 2030 年的半导体设计图
上图中显示的大多数半导体设计都是针对基于平面 FET 的旧工艺节点设计的半导体。自 2015 年以来,这些设计启动数量基本持平。设计启动数量的真正增长发生在 16 纳米及更小几何尺寸的节点——FinFET 和 GAAFET(全栅 FET)节点——但新设计启动的总数并没有增加。真的没有增长那么多。复合年增长率为 1.0672%,增长率惨淡,这肯定不足以维持 EDA 行业传统的高年增长率。
Schirrmeister 在他的文章中写道:
“乍一看,设计起点的增长并不像传统‘hockey stick’意义上的拐点。好吧,直到人们将数据乘以每个技术节点的工作量,并认识到大部分预测增长来自 7 纳米及以上技术。深入研究数据进一步发现,仅从 7 纳米到 5 纳米,预期开发成本几乎增加了两倍。仅 3nm 和 2nm 原型及其验证的成本就与整个 16nm 设计的开发成本一样多。我们没有足够的工程师,也无法及时进行足够的教育来满足设计需求,因此我们在生产力没有提高的情况下陷入停滞。”
我认为,“停滞”这种低增长的状况让 EDA 行业别无选择,只能推动自己进入一个新时代,我将其命名为“Big DA Era”。事实上,我断言,这个新时代的开始有一个确切的日期:2017 年 3 月 30 日。在那一天,西门子宣布以 45 亿美元完成对 Mentor Graphics 的收购。该公司宣布于 2016 年 11 月收购 Mentor,以扩大其向系统公司提供的设计自动化 (DA:design automation) 软件产品。 Mentor 首席执行官 Rhines 在IEEE Design and Test 2017 年 1 月/2 月号采访中阐述了此次收购背后的原因:
“EDA 的系统部分将快速增长,最终超过 EDA 行业 IC 设计部分的收入。我预计,也许不是五年后,但可能是十年左右。当然,我们将在未来五年继续挑战新节点——7 纳米和 5 纳米,无论当时的命名约定是什么。还有很多工作要做。但半导体行业可能会回到更传统的增长率——3% 到 5% 可能是您对稳定行业的预期。这意味着 EDA 必须在某种程度上实现多元化,才能继续成为一个增长型行业。”
1.
Mentor寻找白衣骑士
其他压力促使 Mentor 于 2017 年被收购。在 2023 年 10 月 19 日接受EETimes采访时,Rhines 解释说,Mentor 已从 1990 年代初灾难性的 Version 8.0崩溃中完全恢复过来,财务状况良好,但该公司的股票“永远处于低位。被低估”,这使得 Mentor 经常成为企业袭击者的目标。 Carl Icahn 从 2010 年开始购买大量 Mentor 股票,进行了代理权争夺战,赢得了 Mentor 董事会的三个席位,第二年失去了其中两个席位,并在 2016 年兑现了可观的利润。
2016 年,Elliott Management 的杰西·科恩 (Jesse Cohn) 试图接管 Mentor,因此Rhines开始寻找一位白衣骑士。在时任恩智浦半导体公司董事长的老朋友Peter Bonfield爵士的帮助下,Rhines与西门子首席执行官乔·凯瑟取得了联系。Rhines 与西门子收购团队合作,确保其收购报价超过了 Elliott Management 的报价。 Cadence 也曾寻求收购 Mentor,但西门子已经在系统DA 市场占据了动力,而且根据 Rhines 的说法,Mentor 的业务对西门子来说是“协同和增值的”,这意味着收购后 Mentor 的更多工作岗位得以保留。 Rhines 表示,如果 Cadence 收购了 Mentor,情况就不会如此。
收购后,西门子采用了 Mentor 的系统 DA 软件,包括线束和板级设计工具,并将这些产品与早期公司收购中积累的系统设计软件相结合,例如 UGS(产品生命周期管理软件)和 CD-adapco(计算软件)。流体动力学(CFD)软件)。这些软件工具现在属于Siemens Digital Industries Software 旗下。 Mentor 剩余的 IC 设计软件位于一个单独的西门子 EDA 业务中,并且西门子已经退役了 Mentor Graphics 品牌。
2.
Synopsys 购买 Big DA Entry
Synopsys 是下一家进行 Big DA 转型的 EDA 公司。今年 1 月,Synopsys 宣布收购 Ansys,Ansys 是一家成熟的仿真和分析工具供应商,旨在 EDA 之外的更广泛的工程客户群。在 EDA 领域,Ansys 提供 RedHawk-SC,IC 行业领先的电源完整性分析工具。然而,Ansys 提供的许多工具(例如 CFD 软件包)远远超出了传统 EDA 领域,而是完全属于 Big DA 领域。
收购Ansys将花费Synopsys约350亿美元的现金和股票,这是一笔大收购,但Synopsys也表示,预计从Ansys收购中获得的补充工具将使该公司的总目标市场(TAM)增加1.5倍,达到每年约 280 亿美元。 Synopsys 表示,随着许多服务行业对 DA 工具的需求加速,该公司预计 TAM 合并后的复合年增长率将达到 11% 左右。
Cadence的规划
在另外两家大型 EDA 公司已经走上 Big DA 之路的情况下,Cadence 还会远吗?事实上,Cadence 已经在这条道路上走了一段时间,并通过内部开发和收购了公司的 Fidelity CFD 软件包等工具。
然而,Cadence今年3月宣布计划收购结构分析和多物理软件提供商BETA CAE Systems International AG。 Cadence 认为,此次 12.4 亿美元的收购将帮助该公司通过扩大其多物理场系统分析软件产品组合来扩展其“智能系统设计”战略。
与西门子和Synopsys一样,Cadence的既定目标是通过从EDA公司发展成为Big DA公司,实现数十亿美元的增量TAM扩张。
过渡到Big DA之后,EDA还会迎来另一个时代吗?最肯定的是。回想一下 Schirrmeister 的话:“我们没有足够的工程师,也无法及时进行足够的教育来满足设计需求,因此我们在生产力没有提高的情况下陷入停滞。”我们将如何实现生产力的提高?我的赌注是人工智能。已经有许多实验应用使用人工智能进行半导体设计,我预计人工智能作为生产力倍增器的使用只会增长。基于大型语言模型(LLM)的人工智能系统中来之不易的工程专业知识的编码工作已经在进行中,并且几乎肯定会在不远的将来得到广泛应用。”
参考链接
https://www.eejournal.com/article/a-brief-and-personal-history-of-eda-part-1-dac-and-the-big-bang/
https://www.eejournal.com/article/a-brief-and-personal-history-of-eda-part-2-calma-applicon-computervision-and-the-cad-era/
https://www.eejournal.com/article/a-brief-and-personal-history-of-eda-part-3-daisy-valid-and-mentor-graphics-the-cae-era/
https://www.eejournal.com/article/a-brief-and-personal-history-of-eda-part-4-cadence-synopsys-and-mentor-graphics-the-eda-era/
https://www.eejournal.com/article/a-brief-and-personal-history-of-eda-part-5-the-acquisition-era/
https://www.eejournal.com/article/a-brief-and-personal-history-of-eda-part-6-the-ip-era/
https://www.eejournal.com/article/a-brief-and-personal-history-of-eda-part-7-edas-60-layer-cake/
https://www.eejournal.com/article/a-brief-and-personal-history-of-eda-part-8-the-big-da-era/
点这里👆加关注,锁定更多原创内容
END
*免责声明:本文由作者原创。文章内容系作者个人观点,半导体行业观察转载仅为了传达一种不同的观点,不代表半导体行业观察对该观点赞同或支持,如果有任何异议,欢迎联系半导体行业观察。
今天是《半导体行业观察》为您分享的第3770期内容,欢迎关注。
推荐阅读
『半导体第一垂直媒体』
实时 专业 原创 深度
公众号ID:icbank
喜欢我们的内容就点“在看”分享给小伙伴哦
继续阅读
阅读原文